<kbd id="afajh"><form id="afajh"></form></kbd>
<strong id="afajh"><dl id="afajh"></dl></strong>
    <del id="afajh"><form id="afajh"></form></del>
        1. <th id="afajh"><progress id="afajh"></progress></th>
          <b id="afajh"><abbr id="afajh"></abbr></b>
          <th id="afajh"><progress id="afajh"></progress></th>

          反超臺積電!英特爾宣布2024年量產(chǎn)2nm,代工業(yè)務(wù)獲高通、亞馬遜力挺!

          共 6776字,需瀏覽 14分鐘

           ·

          2021-07-28 15:20


          2021年7月27日,英特爾CEO帕特·基辛格在“英特爾加速創(chuàng)新:制程工藝和封裝技術(shù)線上發(fā)布會(huì)”上發(fā)表演講。在這次線上發(fā)布會(huì)中,英特爾首次公布了未來數(shù)年的制程工藝和封裝技術(shù)路線圖,并對英特爾的工藝節(jié)點(diǎn)進(jìn)行了重新命名。同時(shí)發(fā)布了全新的晶體管架構(gòu)RibbonFET 和背面電能傳輸網(wǎng)絡(luò)PowerVia,以及全新的Foveros Omni和Foveros Direct封裝技術(shù)。


          此外,在晶圓代工業(yè)務(wù)方面,英特爾也獲得了突破。在今天的線上會(huì)議上,英特爾宣布已經(jīng)與高通達(dá)成了20A工藝節(jié)點(diǎn)上的合作,同時(shí)在先進(jìn)封裝上也與亞馬遜AWS達(dá)成了合作。


          一、英特爾啟用全新工藝節(jié)點(diǎn)命名,2024年量產(chǎn)20A制程


          眾所周知,在半導(dǎo)體制程工藝節(jié)點(diǎn)的命名上,通常是按照晶體管柵極長度來命名,數(shù)字越小越好。但是在多年前,不少廠商為了取得市場營銷上的優(yōu)勢,就已經(jīng)脫離了嚴(yán)格按照晶體管柵極長度來命名制程工藝節(jié)點(diǎn)的方式,所以目前即使在同樣的節(jié)點(diǎn)的命名下,各家在實(shí)際性能上也有著非常大的差異。


          目前,單純從節(jié)點(diǎn)命名上來看,臺積電和三星今年將量產(chǎn)第二代的5nm工藝,相對于英特爾來說,處于領(lǐng)先的地位。但是從具體的性能來看,臺積電的5nm性能是領(lǐng)先于三星的,而英特爾公布的數(shù)據(jù)則顯示,其即將推出的7nm工藝性能則與臺積電5nm相當(dāng)。


          此前英特爾在推出10nm工藝之時(shí),曾極力推動(dòng)以晶體管密度來衡量制程工藝性能,但收效不佳。


          或許是為了規(guī)避目前混亂的制程工藝節(jié)點(diǎn)命名方式給英特爾帶來的不利的競爭影響,此次英特爾公布未來制程工藝路線圖時(shí),也對其工藝節(jié)點(diǎn)的命名方式進(jìn)行了重構(gòu)。


          英特爾引入了基于關(guān)鍵技術(shù)參數(shù)——包括性能、功耗和面積等的新命名體系。從上一個(gè)節(jié)點(diǎn)到下一個(gè)節(jié)點(diǎn)命名的數(shù)字遞減,反映了對這些關(guān)鍵參數(shù)改進(jìn)的整體評估。




          以下是英特爾制程技術(shù)路線圖、實(shí)現(xiàn)每個(gè)節(jié)點(diǎn)的創(chuàng)新技術(shù)以及新節(jié)點(diǎn)命名的詳細(xì)信息:


          ● Intel 7


          英特爾10納米SuperFin的命名保持不變,但是新一代的“10納米Enhanced SuperFin”的制程節(jié)點(diǎn)將重新名為“Intel 7”。


          據(jù)英特介紹,通過FinFET晶體管優(yōu)化,“Intel 7”的每瓦性能將比英特爾10納米SuperFin提升約10%~15%,優(yōu)化方面包括更高應(yīng)變性能、更低電阻的材料、新型高密度蝕刻技術(shù)、流線型結(jié)構(gòu),以及更高的金屬堆棧實(shí)現(xiàn)布線優(yōu)化。



          英特爾于2021年推出的面向客戶端的Alder Lake將會(huì)率先采用“Intel 7”工藝,后續(xù)預(yù)計(jì)將于2022年第一季度投產(chǎn)的面向數(shù)據(jù)中心的Sapphire Rapids也將會(huì)采用“Intel 7”工藝。


          ● Intel 4


          此前被稱之為Intel 7納米工藝的節(jié)點(diǎn)將被重新命名為“Intel 4”。


          據(jù)英特爾介紹,與Intel 7相比,Intel 4的每瓦性能提高了約20% ,同時(shí)它也將是首個(gè)完全采用EUV光刻技術(shù)的英特爾FinFET節(jié)點(diǎn)。此前臺積電的7nm EUV工藝也只是極少部分環(huán)節(jié)采用了EUV工藝。




          具體的量產(chǎn)時(shí)間上,英特爾表示,Intel 4將于2022年下半年投產(chǎn),2023年出貨,產(chǎn)品包括面向客戶端的Meteor Lake和面向數(shù)據(jù)中心的Granite Rapids。


          ● Intel 3


          Intel 3 將繼續(xù)獲益于FinFET,相比前代的Intel 4,Intel 3將在每瓦性能上實(shí)現(xiàn)約18%的提升。這是一個(gè)比通常的標(biāo)準(zhǔn)全節(jié)點(diǎn)改進(jìn)水平更高的晶體管性能提升。



          英特爾稱,Intel 3實(shí)現(xiàn)了更高密度、更高性能的庫;提高了內(nèi)在驅(qū)動(dòng)電流;通過減少通孔電阻,優(yōu)化了互連金屬堆棧;與Intel 4相比,Intel 3在更多工序中增加了更多的EUV的使用。


          Intel 3將于2023年下半年開始生產(chǎn)相關(guān)產(chǎn)品。


          雖然英特爾并未公布Intel 3所對應(yīng)的英特爾自身此前制程節(jié)點(diǎn),或者其他友商的制程節(jié)點(diǎn),但是從英特爾的介紹來看,Intel 3應(yīng)該相當(dāng)于英特爾原來的5nm,而在具體每瓦功耗性能上可能相當(dāng)于臺積電的3nm工藝。這也是為何英特將其以Intel 3 命名的原因。


          ● Intel 20A


          隨著制程工藝越來越接近于原子水平的“1納米”節(jié)點(diǎn),工藝制程的優(yōu)化和提升將會(huì)變的越來越困難,因此,英特爾將再度改變命名方式,將在Intel 3之后的下一個(gè)節(jié)點(diǎn)將被命名為Intel 20A(20埃米),以更好地反映更為細(xì)節(jié)上的創(chuàng)新。


          而為了實(shí)現(xiàn)Intel 20A制程,英特爾將會(huì)引入全新的兩項(xiàng)突破性技術(shù)PowerVia和RibbonFET。



          所謂RibbonFET實(shí)際上就是英特爾研發(fā)的Gate All Around(GAA)晶體管。英特爾表示,RibbonFET可提供更快的晶體管開關(guān)速度,同時(shí)以更小的占用空間實(shí)現(xiàn)與多鰭結(jié)構(gòu)相同的驅(qū)動(dòng)電流。不過,英特爾并未介紹其GAA晶體管架構(gòu)與臺積電、三星的GAA的區(qū)別。


          △以上為英特爾提供的演示動(dòng)畫,并不代表最終實(shí)際產(chǎn)品形態(tài)


          根據(jù)之前的資料顯示,臺積電將會(huì)采用典型的GAA形式——GAAFET(Gate-all-around FETs),即采用的是納米線溝道設(shè)計(jì),溝道整個(gè)外輪廓都被柵極完全包裹,代表柵極對溝道的控制性更好。相比之下,傳統(tǒng)的FinFET 溝道僅3 面被柵極包圍。GAAFET 架構(gòu)的晶體管提供比FinFET 更好的靜電特性,可滿足某些柵極寬度的需求。這主要表現(xiàn)在同等尺寸結(jié)構(gòu)下,GAA 的溝道控制能力強(qiáng)化,尺寸可以進(jìn)一步微縮。


          而三星認(rèn)為采用納米線溝道設(shè)計(jì)不僅復(fù)雜,且付出的成本可能也大于收益。因此,三星設(shè)計(jì)了一種全新的GAA形式——MBCFET(Multi-Bridge-Channel FET,多橋-通道場效應(yīng)管),采用多層堆疊的納米片來替代GAAFET中的納米線。這種納米片設(shè)計(jì)已被研究機(jī)構(gòu)IMEC當(dāng)作FinFET 架構(gòu)后續(xù)產(chǎn)品進(jìn)行大量研究,并由IBM 與三星和格羅方德合作發(fā)展。


          而從英特爾公布的演示動(dòng)畫來看,英特爾可能采用了類似三星GAA的納米片架構(gòu)設(shè)計(jì)。


          至于PowerVia,則是英特爾獨(dú)有、業(yè)界首個(gè)背面電能傳輸網(wǎng)絡(luò)。傳統(tǒng)的互連技術(shù)是在晶體管層的頂部進(jìn)行互聯(lián),由此產(chǎn)生的電源線和信號線的互混,導(dǎo)致了布線效率低下的問題,會(huì)影響性能和功耗。對此英特爾創(chuàng)新性的把電源線置于晶體管層的下面(即晶圓的背面),通過消除晶圓正面的電源布線需求,可以騰出更多的資源用于優(yōu)化信號布線并減少時(shí)延,通過減少下垂和降低干擾,也有助于實(shí)現(xiàn)更好的電能傳輸,這使得英特爾可以根據(jù)產(chǎn)品需求對性能功耗或面積進(jìn)行優(yōu)化。




          根據(jù)英特爾的預(yù)計(jì),其Intel 20A制程將在2024年推出。此外,英特爾還透露將會(huì)在2025年推出18A制程。



          英特爾高級副總裁兼技術(shù)開發(fā)總經(jīng)理Ann Kelleher博士表示:“英特爾有著悠久的制程工藝基礎(chǔ)性創(chuàng)新的歷史,這些創(chuàng)新均驅(qū)動(dòng)了行業(yè)的飛躍。我們引領(lǐng)了從90納米應(yīng)變硅向45納米高K金屬柵極的過渡,并在22納米時(shí)率先引入FinFET。憑借RibbonFET和PowerVia兩大開創(chuàng)性技術(shù),Intel 20A將成為制程技術(shù)的另一個(gè)分水嶺?!?/p>


          △英特爾高級副總裁兼技術(shù)開發(fā)總經(jīng)理Ann Kelleher博士


          二、率先采用High-NA EUV工藝


          極紫外(EUV)光刻技是采用高度復(fù)雜的透鏡和反射鏡光學(xué)系統(tǒng),將13.5納米波長的光對焦,從而在硅片上刻印極微小的圖樣。而目前ASML是全球唯一的EUV光刻機(jī)供應(yīng)商。目前要實(shí)現(xiàn)7nm以下的先進(jìn)制程,都必須要使用EUV光刻機(jī)。


          從7nm工藝開始,部分工藝已經(jīng)采用了NA(Numerical Aperture)=0.33的EUV光刻設(shè)備,并通過降低波長來實(shí)現(xiàn)5nm工藝,但對于2nm以后的超精細(xì)工藝,需要實(shí)現(xiàn)更高的分辨率光刻設(shè)備。


          英特爾要想實(shí)現(xiàn)20A制程,則需要依賴于ASML的下一代高數(shù)值孔徑(High-NA)的EUV光刻機(jī)。


          ASML去年已經(jīng)完成了High-NA EUV光刻設(shè)備NXE:5000系列的基本設(shè)計(jì),計(jì)劃于2022年左右商業(yè)化。


          英特爾表示,其有望率先獲得業(yè)界第一臺High-NA EUV光刻機(jī),同時(shí)也將是業(yè)界首家將High-NA EUV光刻機(jī)應(yīng)用到量產(chǎn)環(huán)節(jié)的廠商。這也是英特爾在制程工藝上能夠重回領(lǐng)先地位的關(guān)鍵。


          三、2024年超越臺積電


          從公布的相關(guān)制程節(jié)點(diǎn)的量產(chǎn)時(shí)間來看,英特爾將自今年開始量產(chǎn)Intel 7 制程,此后每一年將會(huì)推出新一代的全新制程,這相比之前英特爾本就已經(jīng)多次延宕的“Tick-Tock”節(jié)奏成倍提升。根據(jù)英特爾公布的信息,其將在2025年量產(chǎn)20A(20埃米,相當(dāng)于2nm)制程。


          如果英特爾20A制程能夠如期量產(chǎn)的話,那么無疑將趕上臺積電的節(jié)奏。按照臺積電的規(guī)劃,其2022年將會(huì)量產(chǎn)3nm制程,最快2024年量產(chǎn)2nm制程,而臺積電的1nm尚未有相關(guān)信息。也就是說,英特爾將會(huì)藉由2024年的20A制程,從而實(shí)現(xiàn)對臺積電的反超(按照晶體管密度來衡量,英特爾20A性能上可能相當(dāng)于臺積電的1nm制程),重新成為繼續(xù)推動(dòng)摩爾定律前進(jìn)的領(lǐng)軍企業(yè)。


          “摩爾定律仍在持續(xù)生效。對于未來十年走向超越‘1納米’節(jié)點(diǎn)的創(chuàng)新,英特爾有著一條清晰的路徑。我想說,在窮盡元素周期表之前,摩爾定律都不會(huì)失效,英特爾將持續(xù)利用硅的神奇力量不斷推進(jìn)創(chuàng)新?!庇⑻貭柟綜EO帕特·基辛格非常有信心的說到。




          四、先進(jìn)封裝技術(shù)再度升級


          隨著摩爾定律推進(jìn)的速度的放緩,以及先進(jìn)制程所能夠帶來的經(jīng)濟(jì)效益大幅減少(性能提升逐步減少,成本卻持續(xù)大幅提升),先進(jìn)封裝技術(shù)已經(jīng)成為了繼續(xù)推進(jìn)摩爾定律的經(jīng)濟(jì)效益的重要手段。


          目前業(yè)界流行的多芯片先進(jìn)封裝架構(gòu),基本原則都是使用最優(yōu)制程工藝制作不同IP模塊,然后借助各種封裝方式,在一個(gè)封裝內(nèi)實(shí)現(xiàn)多個(gè)芯片間以及與小芯片之間的高帶寬、低時(shí)延的高速互聯(lián),構(gòu)成一個(gè)異構(gòu)計(jì)算平臺,同時(shí)使得整個(gè)芯片封裝體實(shí)現(xiàn)類似單芯片SoC的性能,但是成本卻大幅低于單芯片SoC。



          作為先進(jìn)封裝領(lǐng)域的領(lǐng)軍企業(yè),英特爾早在2017年實(shí)現(xiàn)了基于2.5D封裝技術(shù)EMIB(嵌入式多芯片互連橋接)產(chǎn)品的出貨。Sapphire Rapids是基于EMIB技術(shù)批量出貨的首個(gè)英特爾至強(qiáng)數(shù)據(jù)中心產(chǎn)品。


          英特爾表示,它也是業(yè)界首個(gè)提供幾乎與單片設(shè)計(jì)相同性能的,但整合了兩個(gè)光罩尺寸的器件。繼Sapphire Rapids之后,下一代EMIB的凸點(diǎn)間距將從55微米縮短至45微米。


          隨后在2018年年底的英特爾架構(gòu)日活動(dòng)上,英特爾推出了業(yè)界首創(chuàng)的3D邏輯芯片封裝技術(shù)——Foveros 3D,它可實(shí)現(xiàn)在邏輯芯片上堆疊不同制程的邏輯芯片。以前只能把邏輯芯片和存儲(chǔ)芯片連在一起,因?yàn)橹虚g的帶寬和數(shù)據(jù)要求要低一些。而Foveros 3D則可以把不同制程的邏輯芯片堆疊在一起,實(shí)現(xiàn)晶圓級封裝,裸片間的互聯(lián)間隙只有50μm,同時(shí)可保證連接的帶寬足夠大、速度夠快、功耗夠低,而且3D的堆疊封裝形式,還可以保持較小的面積。


          據(jù)英特爾介紹,Meteor Lake是在客戶端產(chǎn)品中實(shí)現(xiàn)Foveros技術(shù)的第二代部署。該產(chǎn)品具有36微米的凸點(diǎn)間距,不同晶片可基于多個(gè)制程節(jié)點(diǎn),熱設(shè)計(jì)功率范圍為5-125W。


          除了EMIB、Foveros 3D等封裝技術(shù)之外,在2019年7月于美國舊金山舉行的SEMICON West大會(huì)上,英特爾又公布旗下三項(xiàng)全新的先進(jìn)芯片封裝技術(shù):Co-EMIB、ODI和MDIO。


          Co-EMIB就是利用高密度的互連技術(shù),將EMIB 2D封裝和Foveros 3D封裝技術(shù)結(jié)合在一起,實(shí)現(xiàn)高帶寬、低功耗,以及相當(dāng)有競爭力的I/O密度。


          ODI(Omni-Directional Interconnect)就是全方位互連技術(shù),可以為封裝中小芯片之間的全方位互連通信提供更大的靈活性。


          MDIO(Multi-Die IO),即多裸片輸入輸出,是AIB(高級互連總線)的進(jìn)化版,為EMIB提供一個(gè)標(biāo)準(zhǔn)化的SiP PHY級接口,可互連多個(gè)小芯片。


          在今天的線上會(huì)議上,英特爾又推出了全新的封裝技術(shù)Foveros Omni和Foveros Direct。


          據(jù)介紹,F(xiàn)overos Omni開創(chuàng)了下一代Foveros技術(shù),通過高性能3D堆疊技術(shù)為裸片到裸片的互連和模塊化設(shè)計(jì)提供了無限制的靈活性。Foveros Omni允許裸片分解,將基于不同晶圓制程節(jié)點(diǎn)的多個(gè)頂片與多個(gè)基片混合搭配,凸點(diǎn)密度翻了四倍,達(dá)到了1600 IO/mm2。



          而Foveros Direct實(shí)現(xiàn)了向直接銅對銅鍵合的轉(zhuǎn)變,它可以實(shí)現(xiàn)低電阻互連,并使得從晶圓制成到封裝開始,兩者之間的界限不再那么截然。Foveros Direct實(shí)現(xiàn)了10微米以下的凸點(diǎn)間距,使3D堆疊的互連密度提高了一個(gè)數(shù)量級,為功能性裸片分區(qū)提出了新的概念,這在以前是無法實(shí)現(xiàn)的。




          英特爾表示,F(xiàn)overos Omni預(yù)計(jì)將于2023年用到量產(chǎn)的產(chǎn)品中。Foveros Direct則是對Foveros Omni的補(bǔ)充,預(yù)計(jì)也將于2023年用到量產(chǎn)的產(chǎn)品中。


          五、英特爾代工服務(wù)獲得突破



          在今年的3月的在主題為“英特爾發(fā)力:以工程技術(shù)創(chuàng)未來”的全球直播活動(dòng)上,新上任的英特爾CEO基辛格公布了英特爾的IDM 2.0戰(zhàn)略,宣布投資200億美元在美國新建兩座晶圓廠,并重啟了英特爾的代工服務(wù)(IFS)。


          而對于代工業(yè)務(wù)來說,最為關(guān)鍵的兩大因素就是產(chǎn)能和技術(shù)。


          在技術(shù)上,英特爾目前在先進(jìn)封裝技術(shù)領(lǐng)域處于業(yè)界領(lǐng)先地位,并擁有多項(xiàng)獨(dú)有技術(shù)。但是在先進(jìn)制程技術(shù)上,英特爾相比臺積電處于落后地位。不過,根據(jù)英特爾今天最新公布的路線圖來看,如果一切都能夠按照既定的時(shí)間節(jié)點(diǎn)落實(shí)的話,那么英特爾將會(huì)在2024年在制程工藝上實(shí)現(xiàn)對臺積電的反超。


          英特爾在今天的會(huì)議上對外表示,英特爾的先進(jìn)封裝及先進(jìn)制程工藝將會(huì)全面對外開放。也就是說,其他的芯片廠商都可以采用英特爾最先進(jìn)的制程及封裝技術(shù),這無疑是具有很大吸引力的。


          在今天的會(huì)議上,英特爾也宣布已經(jīng)與亞馬遜簽約,亞馬遜將成為首家采用英特爾代工服務(wù)的封裝解決方案客戶。



          此外,在晶圓代工方面,英特爾宣布高通將會(huì)成為首批采用英特爾20A制程工藝的客戶。也就是說,高通2024年底推出的旗艦芯片或?qū)⒂捎⑻貭柕?0A制程工藝代工。



          前面提到,對于代工業(yè)務(wù)來說,產(chǎn)能也是極為關(guān)鍵的一環(huán)。在今年3月,英特爾宣布投資200億美元在美國亞利桑那州新建兩座晶圓廠之后,今年5月,英特爾還投資35億美元對美國新墨西哥州的Rio Rancho工廠進(jìn)行升級,斥資100億美元在以色列興建新的晶圓廠。近日,英特爾 還追加了對哥斯達(dá)黎加封測廠投資,金額由2020 年12月的3.5億美元,提高超過70%到6 億美元。


          最新的消息還顯示,英特爾計(jì)劃投資200億美元在多個(gè)歐盟成員國建造芯片工廠。目前英特爾公司正在游說,希望贏得歐盟對該項(xiàng)目的財(cái)政和政治支持。


          在今天的會(huì)議上,英特爾CEO基辛格透露,將會(huì)在今年年底進(jìn)一步公布在歐洲和美國的投資布局,“這是一筆足以支持大型晶圓廠的巨額投資”。


          這一系列的投資無疑將極大提升英特爾在晶圓制造和先進(jìn)封裝方面的產(chǎn)能供應(yīng),這對于英特爾代工業(yè)務(wù)的后續(xù)發(fā)展非常關(guān)鍵。



          不過需要指出的是,今天英特爾公布的突破性技術(shù)主要在英特爾俄勒岡州和亞利桑那州的工廠開發(fā)。


          “英特爾正在針對制程和封裝技術(shù)的未來進(jìn)行創(chuàng)新,英特爾將按照既定節(jié)奏推出這些創(chuàng)新技術(shù),英特爾將把我們出色的技術(shù)推至更廣泛的行業(yè)領(lǐng)域。我們正以破竹之勢前進(jìn),業(yè)界對于英特爾的回歸反應(yīng)熱烈??梢哉f,英特爾的代工業(yè)務(wù)已經(jīng)揚(yáng)帆起航?!被粮穹浅Ed奮的說到。


          編輯:芯智訊-浪客劍

          往期精彩文章

          臺積電正評估赴日本、德國建廠,南京廠擴(kuò)產(chǎn)順利進(jìn)行

          從國內(nèi)Fab廠招標(biāo)數(shù)據(jù),看半導(dǎo)體設(shè)備國產(chǎn)化進(jìn)程

          龍芯3A5000正式發(fā)布:基于LoongArch自研指令系統(tǒng),性能提升50%

          市值超55億美元!法拉第未來成功上市,賈躍亭“下周回國”有望!

          紫光集團(tuán)破產(chǎn)重整開啟:招募戰(zhàn)投全面接手,要求總資產(chǎn)不低于500億!

          傳安博凱將收購全球第二大封測廠Amkor!
          云從科技科創(chuàng)板IPO獲通過:募資37.5億元發(fā)力AI生態(tài),AI芯片研發(fā)已終止!

          美國持續(xù)打壓之下,中國集成電路產(chǎn)業(yè)如何破局?

          傳華為OLED驅(qū)動(dòng)芯片已完成試產(chǎn),最快年底量產(chǎn)交付

          大基金、中微公司加持!國內(nèi)唯一PECVD供應(yīng)商:拓荊科技成色幾何?

          90%市場被國外壟斷!從華大九天招股書,看國產(chǎn)EDA產(chǎn)業(yè)現(xiàn)狀

          行業(yè)交流、合作請加微信:icsmart01
          芯智訊官方交流群:221807116

          瀏覽 47
          點(diǎn)贊
          評論
          收藏
          分享

          手機(jī)掃一掃分享

          分享
          舉報(bào)
          評論
          圖片
          表情
          推薦
          點(diǎn)贊
          評論
          收藏
          分享

          手機(jī)掃一掃分享

          分享
          舉報(bào)
          <kbd id="afajh"><form id="afajh"></form></kbd>
          <strong id="afajh"><dl id="afajh"></dl></strong>
            <del id="afajh"><form id="afajh"></form></del>
                1. <th id="afajh"><progress id="afajh"></progress></th>
                  <b id="afajh"><abbr id="afajh"></abbr></b>
                  <th id="afajh"><progress id="afajh"></progress></th>
                  天堂视频在线伊人 | 国产日韩欧美久久 | 一级大片在线播放 | 激情无码视频 | 免费一区视频 |